Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com август - сентябрь 2003 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по сентябрь 2003 года выглядит следующим образом: + 1. Борьба за интероперабельность 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете + 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов + 7.3.2. Телекоммуникации 7.3.3. Средства управления движением 7.3.4. Реконфигурация "на-лету" 7.3.5. Память с шифрованием данных 7.3.6. CAN-контроллеры 7.4. ASIC конвергируют к ПЛИС 8. IP-компоненты для ПЛИС и ASIC + 8.1. DSP-обработка + 8.2. Телекоммуникации + 8.3. Шифрование + 8.4. Как распространяются IP-компоненты 9. Верификационные IP-компоненты + 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией + 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC + 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC 10.3. Персональные средства для прототипирования ASIC н! 10.4. Отладчики проектов на кристалле 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems 11.2. И все остальные 11.3. Сетевые микроконтроллеры + 11.4. Мультимедиа-микроконтроллеры + 11.5. Другие новости мира микроконтроллеров н! 11.6. Операционные системы для встроенных приложений н! 11.7. Микроконтроллеры для беспроводных сетей н! 11.8. Микроконтроллеры с поддержкой шифрования 12. Обучение - ключ к продаже + 12.1. Очные семинары 12.2. On-line - обучение + 12.3. Университетские программы 12.4. Обучение через партнерские программы с центрами проектирования 12.5. Документированные проекты + 12.6. Комплексная (многовидовая) служба поддержки 13. Другие ключи к продаже + 13.1. Передача маркетинга на сторону + 13.2. Расширение географии 13.3. On-line - выставки 13.4. On-line - порталы + 13.5. On-line - семинары + 14. Интернет-технологии на службе EDA-индустрии 15. Специализированные СБИС + 15.1. Передача данных + 15.2. Сетевая обработка + 15.3. Цифровое телевидение + 15.4. Емкая и быстрая память для мобильных устройств + 15.5. Цифровая камера н! 15.6. Суперминиатюрные ИС для 'last-minute' модификаций н! 15.7. Цифровая аудиообработка н! 15.8. Самая - самая Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (август-сентябрь 2003 года). Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0309.txt). Вначале рассмотрим динамику развития ранее подмеченных тенденций. 1. Новости в рамках классификации, приведенной на июль 2003 года 1.1. "1. Борьба за интероперабельность" 1.1.1. Только факты 25 августа 0-In открывает свой патент в 'Assertion-Based Verification' Патент США с номером 6,609,229 и названием "Метод для автоматической генерации чекеров для нахождения функциональных дефектов в описании микросхемы" описывает методы спецификации и генерации 'assertions' при использовании в симуляции. O-In - активная сторонница стандартов и интероперабельности (interoperability) в Accelera. Набор средств и библиотека IP-компонент ChakerWare от 0-In поддерживают стандарты Accelera и IEEE. www.0-in.com 27 августа JEDEC анонсирует JEDEX в Китае (Шанхай) JEDEC - ведущая организация по разработке стандартов для подупроводниковой промышленности объявила о конференйции (21-22 октября 2003 года) в Шанхае (Китай). Все стандарты JEDEC доступны бесплатно на сайте организации. Полупроводниковая промышленность в Китае развивается быстрыми темпами, и стандартизация черзвычайно важна в таких улсовиях. Конференция организуется совместно с CESI (Chinese Electronic Standardization Institute) и CSIA (China Semiconductor Industry Association). Спонсорами конференции являются Infineon Technologies, Micron Technology, Samsung Semiconductor, Hynix, Kingston Technology, Cypress Semiconductor, CES и CST. www.jedec.org www.jedexchina.org 1 сентября Конференция JEDEX в Китае 21-22 октября Основные темы: качество и надежность, беспроводные технологии, оперативная память (DIMM, DDR1, DDR2). JEDEC - ведущий разработчик стандартов, объединяющий 1800 представителей 275 компаний в 50 комитетах JEDEC. Все стандарты, выработанные JEDEC, распространяются бесплатно с сайта www.jedec.org. www.jedexchina.org 2 сентября Xilinx выпускает два новых интерфейса (Multi-Rate SDI и HD-SDI) для улучшения цифровой последовательной передачи видеоинформации www.cook-tech.com/ctxil103.html www.xilinx.com 4 сентября IBM сделала свой вклад в стандартизацию процесса проектирования чипов Accelera - организация по выработке стандартов для EDA утвердила PSL 1.01 (Property Specification Language), разработанный на базе языка Sugar от IBM, в качестве официального стандарта. PSL продвинул ABV (assertion-based verification) в симуляцию и формальную верификацию - две превалирующие методологии доказательства корректности проектов перед их изготовлением. IBM Research бесплатно распространяет исходный текст парсера языка Sugar для его более широкого распространения. IBM Research включает более 3,000 ученых и инженеров в 8 лабораториях в 6 странах. Основные сферы интересов IBM Research - системы памяти, языки программирования, технологии верификации, активное управление. www.haifa.il.ibm.com/projects/verification/sugar/psl.html www.haifa.il.ibm.com/projects/verification/sugar/ www.research.ibm.com www.accellera.com 23 сентября Cadence и Mentor приостанавливают спор о патенте на эмуляцию и аппаратную акселерацию Компании достигли соглашения, что в течение 7 лет не будет взаимных претензий на эту тему. Mentor выплатит Cadence $18 миллионов. Кроме того, Mentor присоединится к Cadence в OpenAccess Coalition. www.mentor.com www.cadence.com 1.1.2. Обобщения и выводы Борьба за стандартизацию продолжается, причем самыми разными методами: организация конференций по стандартизации (JEDEC), максимальная открытость языка и инструменальных средств (IBM/Sugar, Xilinx/Multi-Rate SDI и HD-SDI, окрытие патента фирмой 0-In), и, наконец, обращение в суд - в результате судебного разбирательства Mentor "уговорили" вступить в коалицию "OpenAcess" лидером которой является Cadence. 25 августа 0-In открывает свой патент в 'Assertion-Based Verification' Патент США с номером 6,609,229 и названием "Метод для автоматической генерации чекеров для нахождения функциональных дефектов в описании микросхемы" описывает методы спецификации и генерации 'assertions' при использовании в симуляции. O-In - активная сторонница стандартов и интероперабельности (interoperability) в Accelera. Набор средств и библиотека IP-компонент ChakerWare от 0-In поддерживают стандарты Accelera и IEEE. www.0-in.com 1.2. "3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика." 1.2.1. Только факты 4 августа Altera выпускает NIOS DK для FPGA Stratix Nios DK (Development Kit) включает встроенный процессор Nios версии 3.02, FPGA Stratix с 40,000 логических элементов и более 3 мегабит внутрикристальной памяти. Плата разработчика включает также 16 мбайт SDRAM, 1 Мбт RAM, 8 Мбт флеш-памяти, 10/100 Ethernet порт, два последовательных порта. Дополнительно, Nios 3.2 содержит улучшенные внутрикристальные средства отладки OCI (on-chip instrumentation) и програмное обеспечение от FS2 (First Silicon Solutions) для отладки программного обеспечения в реальном времени. Цена NIOS DK - $2,495. www.altera.com/devkits www.altera.com/nios www.altera.com/stratix 6 августа Aspex Technology выбрала средства проектирования от Cadence Aspex Technology, основанная в 2002 году, разрабатывает масштабируемые низкопотребляющие процессоры для цифровой обработки сигналов. Среди приобретенных у Cadence продуктов и технологий - Cadence Encounter, Cadence Assura, Cadence Incisive. 12 августа Cypress MicroSystems анонсирует CY8C26x - SoC для управляющих приложений CY8C27x содержит 8-битный 24Мгц процессор, 16 Кбайт флеш-памяти, 256 байт SRAM, 8*8 умножитель и 32-битный аккумулятор. Его можно реконфигурировать "на лету". В качестве средства разработки бесплатно распространяется PSoC Designer 4.0. Имеется также эмулятор CY3205-DK по цене $399. Цена CY8C27x - менее $2 в партиях по 50К штук. www.cypressmicro.com www.cypress.com/support www.cypress.com/aboutus/events.cfm 20 августа Xilinx продала микросхем FPGA на два миллиарда долларов Наиболее популярны микросхемы Virtex-II Pro. www.xilinx.com 2 сентября Summit Design и ARM создают платформу для совместного проектирования и верификации Visual Elite ESC на базе SystemC. Summit Design лицензировала ARM CCMs (Cycle-Callable Models) для распространения совместно со своим продуктом Visual Elite ESC. Visual Elite ESC - это основанная на SystemC платформа совместного проектирования и верификации программного и аппаратного обеспечения с интегрированными ISS (Instruction Set Simulator) моделями целевых процессоров. ARM CCMs - это симуляционные модели процессоров ARM, адекватные на уровне транзакций. Они спроектированы таким образом, чтобы легко интегрироваться с пользовательскими поцикловыми симуляторами. Каждая CCM содержит высокоскоростную поцикловую поведенческую модель, отладочный интерфейс и интерфейс к памяти. Visual Elite ESC - это продукт нового поколения, который обеспечивает на системном уровне моделирование, верификацию и анализ аппаратного и программного обеспечения. Visual Virtual Prototype позволяет пользователям создавать исполняемую модель системы, внедряя в нее модель встроенного процессора. Такая модель системы обеспечивает команду разработчиков программного обеспечения платформой разработки и тестирования задолго до реализации аппаратного обеспечения. Это на месяцы сокращает время выхода на рынок. Visual Elite поддерживает отладку программного обеспечения на уровне исходных текстов на ассемблере и других языках. Описания аппаратного обеспечения на SystemC могут быть трансформированы в HDL RTL реализации. www.arm.com www.sd.com 4 сентября Coware добавила модель процессора ARM1136J-S в библиотеку моделей ConvergenSC Стартовая цена на эту модель процессора - от $10,000 за годичную лицензию. www.coware.com www.arm.com 8 сентября Cadence и CoWare объединяют усилия для унификации методологии проектирования систем на кристалле Первая цель - интегрировать продукты ConvergenSC и LISATek от Coware и Incisive от Cadence, обеспечив в перспективе интероперабельность решения с IP-компонентами, в том числе от ARM. Cadence переместит на CoWare свою группу разработчиков Signal Processing Worksystem (SPW). Cadence планирует инвестиции в CoWare. www.coware.com www.cadence.com 9 сентября Magma помогла Toshiba выпустить 2.5-миллионо-вентильную SoC всего за 4 недели www.magma-da.com 17 сентября Toshiba изготовила высокопроизводительный ASSP с помощью Synopsys DFT Compiler SoCBIST 6-миллионо-вентильный проект изготавливался по технологии 0.13 мк. В процессе проектирования на Toshiba использовали Design Compiler, DFT Compiler SoCBIST, Physical Compiler, PrimeTime, и TetraMAX ATPG Galaxy Design Platform. www.synopsys.com 1.2.2. Обобщения и выводы Сообщения в данном разделе можно разделить на две группы: 1) О растущих потребностях проектирования реальных систем на кристалле: начинающая компания Aspex Technology проектирует низкопотребляющие процессоры для ЦОС; Cypress MicroSystems выпустила новую SoC; Xilinx продала огромное количество микросхем FPGA, наиболее популярны Virtex-II Pro, изюминкой которых явялется наличие процессора (PowerPC или MicroBlaze); Toshiba выпускает SoC и ASSP; 2) О развитии средств проектирования SoC: Altera выпускает средства разработки для SoC на базе своих FPGA Stratix с встроенным процессором Nios; Summit Design и ARM обеспечивают поддержку процессоров ARM в Visual Elite ESC; Coware добавила модель ARM1136J-S в свой продукт ConvergenSC; Cadence вкладывает средства в развитие Coware. 1.3. "5. От C++ к HDL и обратно" 1.3.1. Только факты 3 сентября Celoxica продемонстрирует системное проектирование для Xilinx FPGA и программируемых SoC на Programmable World 2003 Celoxica представит последнюю версию своего DK Design Suite, предназначенного для проектирования систем программированием ее функций на примере разработки в Virtex II Pro системы кодирования образов на базе вэйвлет-преобразований JPEG2000, подчеркивая интероперабельность средств Celoxica, Wind River Systems и Xilinx. Разбиение и верификация сложных аппаратно-программных систем всегда были головной болью разработчиков. Celoxica предлагает эффективное решение подобных проблем. Programmable World 2003 организовывается совместно IBM, Agilent, Cadence, и Xilinx. Ко-спонсорами выступили Celoxica, а также некоторые другие разработчики средств для FPGA. Programmable World 2003 посетили более 8,000 инженеров. На PW2003 их ожидается более 10,000. www.celoxica.com www.xilinx.com/pw2003 22 сентября Celoxica анонсирует новый инструментарий синтеза "из C в FPGA" PDP (Platform Developer's Package) позволяет инженерам преобразовывать С-алгоритмы в плату и оценивать их. PDP обеспечивает поцикловую симуляцию и синтез в логику FPGA. Методология проектирования аппаратного обеспечения компиляцией программного описания алгоритмов - это самый быстрый способ разработки сложных систем. PDP позволяет разработчикам оценить свой описанный на С проект без всякого риска. Имеются пакеты поддержки (PSP - processor support package) таких процессоров как ARM, MicroBlaze, NIOS и PowerPC. Цена PDP - $1999. www.celoxica.com 29 сентября Celoxica и Xilinx выпускают средства проектирования нового поколения для FPGA Virtex-II Pro c процессорами Power PC и MicroBlaze DK от Celoxica включен в Xilinx ISE Embedded Development Kit v6.1 DK от Celoxica позволяет синтезировать аппаратное обеспечение по С-описаниям алгоритмов, а также исследовать варианты распределения функций между программным (для процессора Power PC или MicroBlaze) и аппаратным обеспечением. Индивидуальные пользователи могут воспользоваться бесплатной 30-дневной лицензией от Celoxica. Цена на вечную лицензию - $12,000. www.celoxica.com www.xilinx.com/processor 1.3.2. Обобщения и выводы Celoxica - безусловный лидер в разработке средств проектирования аппаратного обеспечения на базе программных описаний на языке C. Интересно отметить, что она расширяет сферу своего применения, пытаясь поддержать автоматизацию разбиения задач между аппаратным и программным обеспечением, а также обеспечивая совместную симуляцию, эмуляцию и отладку программного и аппаратного обеспечения. Справедливости ради необходимо отметить, что из сообщений следует, что пока только Celoxica и пользуется своим продуктами. Что это означает? Инженеры не готовы к использованию подобных продуктов? Или разработки Celoxica не "дотягивают" до требований реальной эксплуатации? Время покажет. 1.4. "6. IP-компоненты процессоров 6.1. ARM шагает по планете" 1.4.1. Только факты 1 августа ARM купила Adelante Technologies Belgium Adelante Technologies Belgium - это компания из 25 сотрудников, разработавшая технологию A|RT, которую ARM намерена использовать для проектирования оптимизированных процессорных блоков с целью ускорения приложений, требующих значительной производительности обработки данных. www.arm.com 3 сентября LSI Logic разработала и распространяет первое синтезируемое ядро процессора ARM1026EJ-S, работающее на частоте 333 Мгц Эта реализация ядра ARM1026EJ-S включает 16Кбт кеш инструкций и 16 Кбт кеш данных. www.lsilogic.com www.arm.com 17 сентября ARM и Cadence поддержали использование процессоров ARM в Silicon Design Chain www.cadence.com www.arm.com 22 сентября ARM и Synopsys продолжают сотрудничество, начатое в 2001 году Выпущена ARM-Synopsys Reference Methodology версии 4.1, включающая оптимизированные под продукты Synopsis ядра процессоров ARM7TDMI-S, ARM7EJ-S, ARM926EJ-S, ARM946E-S, ARM966E-S, ARM1026EJ-S, ARM1136JF-S www.arm.com www.synopsys.com 1.4.2. Обобщения и выводы ARM продолжает свое наступление по всем фронтам: процессоры ARM лицензируются и имплементируются (LSI Logic); ARM развивает сотрудничество с корифеями рынка средсв EDA (Cadence, Synopsis); наконец, ARM покупает компании для углубления своих исследований и развития новых направлений (Adelante Technologies, Бельгия). 1.5. "6.2. MIPS - с отставанием от ARM, но с опережением всех остальных" 1.5.1. Только факты 8 сентября Genesys Logic лицензирует у MIPS Technologies MIPS32 4Kc и 4Kp для использования в высокоскоростных SoC Genesys Logic планирует разрабатывать SOHO gateways и сетевые устройтва памяти. Genesys Logic основана в 1997 году, разработала несколько сложных IP компонент, в том числе USB 2.0. www.genesyslogic.com/GL816.htm www.mips.com 1.5.2. Обобщения и выводы Очередная фирма (Genesys Logic) лицензировала процессоры MIPS. 1.6. "6.3. И другие процессорные ядра" 1.6.1. Только факты 26 августа Synopsys выпустила синтезируемое описание 8-битного микроконтроллера 6811 Это описание (6811 MacroCell) стало частью DesignWare - библиотеки IP-компонент от Synopsis. На базе 6811 MacroCell в Synopsis разработана BlueIQ Core - IP- компонента для реализации BlueTooth. Для тех, кто уже имеет лицензию на DesignWare, 6811 MacroCell поставляется бесплатно. 6811 MacroCell сопровождается средой верификации, примером проекта и полной документацией. 6811 MacroCell - синхронная схема ядра процессора, которая может быть дополнена такими перифирийными устройствами как Timer, SCI, SPI, Pulse Accumulator и математическим сопроцессором. Для упрощения обучения/использования 6811 Macrocell имеет специальное средство (wizard) для пошаговой интеграции, конфигурации, симуляции и синтеза. В дополнение к 6811 MacroCell, библиотека DesignWare содержит IP-компоненты генераторов высокоскоростных АЛУ (datapath), микроконтроллера 8051, шины AMBA, блоков оперативной памяти, процессоров Star IP; верификационные модели наиболее популярных шин и стандартов ввода/вывода. www.designware.com www.synopsys.com 1.6.2. Обобщения и выводы Выполнена синтезируемая модель процессора 6811 и упоминается наличие синтезируемых молей процессоров 8051 и Star IP. Стимулом для создания синтезируемых моделей таких известных процессоров, как 6811 и 8051 является наличие наработанного системного и прикладного программного обеспечения и самых разнообразных схемных решений. Очевидная цель - перевод соответствующих реально - работающих микропроцессорных систем на новую технологическую базу (т.е. их "реинжиниринг" на кристалле) для повышения производительности, сокращения стоимости и энергопотребления. 1.7. "7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения" 1.7.1. Только факты 4 августа Lattice Semiconductor выпустила ispXPLD 51024MX и ispXPLD 5256MX ispXPLD 51024MX имеет до 1,024 логических макроячеек и 512 килобит он-чип памяти (и эквивалентна 300К системных вентилей). ispXPLD 5256MX имеет до 256 логических макроячеек и 128 килобит он-чип памяти. ispXPLD 51024MX обеспечивает: 5.2 нс tPD (pin-to-pin delay), 3.8 нс tCO (clock-to-output delay), 3.0 нс tS (set-up time) 235 Мгц fMAX (operating frequencies) ispXPLD 5256MX обеспечивает: 4.0 нс tPD (pin-to-pin delay), 2.8 нс tCO (clock-to-output delay), 2.2 нс tS (set-up time) 300 Мгц fMAX (operating frequencies) Цена ispXPLD 5256MX от $9.50, ispXPLD 51024MX - от $42.00 (в партиях более 10К штук). www.latticesemi.com 25 августа Actel анонсирует флеш-FPGA, соответствующие всем военным ('military') спецификациям Имеются ввиду перепрограммируемые энергонезависимые FPGA ProASIC Plus, которые протестированы на работу в "военном" температурном диапазоне (от -55 до +125 градусов по Цельсию) и поставляются в трех модификациях корпусов: MTP (military-temperature plastic), MTH (military-temperature hermetic), 883B (hermetic packaging fully screened to MIL-STD 883 class B). Плотность от 300,000 до 1 миллиона системных вентилей (APA300, APA600, APA1000), низкое энергопотребление, секретность проекта, до 198Кбит двухпортовой встроенной SRAM и 712 контактов ввода/вывода, конфигурируемых пользователем, внутрисхемная программируемость - дополнительные достоинства FPGA ProASIC Plus для применения в военных целях: радары, средства навигации, управления и др. Цена (при покупке партиями от 5000 штук): в корпусах MTP - от $290, в корпусах MTH - от $510, в корпусах 883B - от $860. www.actel.com 10 сентября Altera выпускает EP1C4 - очередную FPGA семейства Cyclone Device Logic Elements Max I/O 50 Ku Price* 250 Ku Price* EP1C3 2,910 104 $5.00 $4.00 EP1C4 4,000 301 $8.50 $7.50 EP1C6 5,980 185 $8.50 $7.50 EP1C12 12,060 249 $16.00 $12.00 EP1C20 20,060 301 $25.00 $20.00 www.altera.com 15 сентября Altera анонсирует планы по 90-нм FPGA Stratix II планируется выпускать по технологии 90 нм, с плотностью более 140,000 логических элементов. Затем по технологии 90 нм планируется выпустить Cyclone II. (к середине 2004 года). www.altera.com/roadmap 18 сентября Cadence переходит на технологию 90 нм с помощью Chartered Chartered Semiconductor Manufacturing - одна из крупнейших фирм - изготовителей чипов с производственными мощностями в Сингапуре. www.charteredsemi.com www.cadence.com 18 сентября Synopsis переходит на технологию 90 нм с помощью Chartered Chartered Semiconductor Manufacturing - одна из крупнейших фирм - изготовителей чипов с производственными мощностями в Сингапуре. www.charteredsemi.com www.synopsys.com 18 сентября Mentor Graphics переходит на технологию 90 нм с помощью Chartered Chartered Semiconductor Manufacturing - одна из крупнейших фирм - изготовителей чипов с производственными мощностями в Сингапуре, основала Chartered NanoAccess Alliance. www.charteredsemi.com www.mentor.com 18 сентября Virage Logic переходит на технологию 90 нм с помощью Chartered Chartered Semiconductor Manufacturing - одна из крупнейших фирм - изготовителей чипов с производственными мощностями в Сингапуре, основала Chartered NanoAccess Alliance. www.charteredsemi.com www.viragelogic.com 1.7.2. Обобщения и выводы Lattice отметилась выпуском быстрых CPLD. Actel выпускает FPGA, соответствующую требованиям военных, Altera пополняет свое семейство FPGA Cyclone и анонсирует планы по созданию FPGA новых семейств - Stratix II и Cyclone II - по технологии 90 нм. Cadence, Synopsis, Mentor и Virage Logic намерены поддержать проектирование по технологии 90 нм с помощью Chartered Semiconductor Manufacturing (Сингапур). 1.8. "7.2. Развитие средств проектирования ПЛИС" 1.8.1. Только факты 4 августа Actel улучшает IDE Libero для FPGA ProASIC Plus Libero 5.0 включает улучшенные средства синтеза и размещения от Synplicity и Actel, в том числе Synplicity Synplify 7.3, Mentor Graphics ModelSim 5.7, SynaptiCAD WaveFormer Lite 9.0. Actel Libero IDE v5.0 доступно в трех изданиях (Platinum, Gold и Silver). Есть бесплатные и платные (от $995) версии. www.actel.com 4 августа Синтезатор Synplify 7.3 улучшает поддержку ProASIC Plus ProASIC Plus фирмы Actel имеют архитектурные характеристики ASIC и гибкость FPGA. Цена Synplify 7.3 - от $9,500. Цена Synplify Pro 7.3 - от $20,000. 5 августа Xilinx выпускает JBits - бесплатное ПО для реконфигурации Virtex-II во время работы JBits API (Application Programming Interface) разработан на языке программирования Java и обеспечивает программный доступ ко всем конфигурируемым элементам на Xilinx FPGA Virtex II. JBits 3.0 дополняет ISE и позволяет создание и генерацию потока битов для реконфигурации приложений. www.xilinx.com/labs/projects/jbits/ www.xilinx.com/prs_rls/end_markets/02151crossbar.htm 26 августа Intrinsix включилась в программу FPGA Advantage Solutions Thrust (FAST) фирмы Mentor Graphics Intrinsix выполняет программные и аппаратные разработки для ведущих поставщиков электронных систем. Участие в FAST доступно при небольшой начальной плате и ежегодных членских взносах. www.intrinsix.com www.mentor.com/consulting/fpga_partners 8 сентября Новая версия софта от Xilinx - ISE 6.1i Общее количество проданных комплектов разработчиков для FPGA Xilinx составляет 175,000. www.xilinx.com 9 сентября Synplicity поддержала выпуск Xilinx ISE 6.1i Synplicity Synplify, Synplify Pro, Amplify могут работать непосредственно из Xilinx ISE 6.1i. www.synplicity.com www.xilinx.com 9 сентября Mentor Graphics выполнила бесшовную интеграцию FPGA Adavantage и Xilinx ISE 6.1i FPGA Advantage обеспечивает создание проектов, их документирование, симуляцию и синтез. В свою очередь Xilinx ISE обеспечивает автоматические размещение и трассировку, обратную аннотацию и ручную оптимизацию. www.xilinx.com/ise www.mentor.com 15 сентября Новая платформа Virtuoso от Cadence Платформа Virtuoso может поставляться с базой данных OpenAccess или Cadence CDBA. Среди основных достоинств Virtuoso - смешанная симуляция (цифровых, аналоговых, RF компонент), ускоренное размещение на чипе, анализ чипа, поддержка OpenAccess, поддержка различных методологий проектирования ("top-down", "bottom-up", "meet-in-the-middle"). Цена Cadence Virtuoso - от $140,000 за Virtuoso Multi-mode Simulation, $15,000 за Virtuoso Accelerated Layout, $100,000 за Virtuoso Silicon Analysis. Cadence Virtuoso доступен для HP, Sun, IBM, Linux. www.cadence.com 24 сентября Avnet присоединилась к FAST Partner Program фирмы Mentor Graphics Участие в FAST (FPGA Advantage Solutions Thrust) Partner Program позволяет получить доступ к средствам разработки FPGA от Mentor Grapics, внутренней документации и консультациям специалистов. www.em.avnet.com/cilicon www.mentor.com 25 сентября Cadence и dSPACE (Германия) сотрудничают в создании распределенного потока проектирования для автомобильных приложений www.cadence.com 1.8.2. Обобщения и выводы Разрыв между огромными физическими возможностями чипов и низким уровнем автоматизации их проектирования является мощным стимулом развития инструментов разработки. Actel выпускает новую версию (5.0) IDE LIbero. Xilinx выпускает новую версию (6.1i) ISE. Mentor интегрировала свой FPGA Adavantage и Xilinx ISE 6.1i. Cadence выпустила новую платформу проектирования Virtuoso. Synplicity обновила свои синтезаторы Synplify и Synplify Pro. 1.9. "7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.2. Телекоммуникации" 1.9.1. Только факты 15 сентября Synopsys и Artisan сотрудничают в валидации интероперабельной архитектуры PCI Express Планируется изготовление аппаратной платформы, на которой будут реализованы DesignWare PCI Express Endpoint Controller Core от Synopsis (на материнской плате) и PCI Express PHY IP от Artisan (на дочерней плате). Интерфейс между платами будет выполнен по стандарту PIPE (PHY Interface for PCI Express). www.synopsys.com www.artisan.com 15 сентября Synopsys и Rambus сотрудничают в создании интероперабельных решений PCI Express Интероперабельность будет обеспечиваться между Rumbus RaSer PHY и Synopsis DesignWare PCI Express Endpoint Controller Core. В планах Synopsis перейти от решений 66MHz PCI к решениям 2.5GHz PCI Express. Планируется изготовление аппаратной платформы, на которой будут реализованы DesignWare PCI Express Endpoint Controller Core от Synopsis (на материнской плате) и PCI Express PHY IP от Rambus (на дочерней плате). Интерфейс между платами будет выполнен по стандарту PIPE (PHY Interface for PCI Express). www.designware.com www.synopsys.com www.rambus.com 1.9.2. Обобщения и выводы Synopsis выпускает реализацию PCI Express на базе FPGA. 1.10 "8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка" 1.10.1. Только факты 1 августа Actel расширяет свой пакет IP-компонент для DSP с помощью 4i2i Communications, Ltd 4i2i Communications выпустила IP-компоненты Viterbi, Reed-Solomon и JPEG, оптимизированные для Actel FPGA семейств ProASIC Plus, Axcelerator, SX-A и RTSX-S. IP-компонента Viterbi включает кодер и декодер, поддерживает исправление ошибок для обоих режимов "burst" и "continuous", обеспечивает конфигурацию параметров пользователями. IP-компонента Reed-Solomon включает кодер и декодер и совместима с множеством международных телекоммуникационных стандартов, включая CCSDS, DECT, ADSL и xDSL. IP-компонента JPEG включает кодер и декодер, совместима со стандартом 10918, обеспечивает JPEG-компрессию образов с разрешением до 4080*4080 и производительностью до 30 кадров в секунду. Цены - от $2500 за однократное использование синтезируемого описания. 4i2i Communications Ltd основана в 1995 году в г.Абердин, Шотландия. www.4i2i.com www.actel.com/products/ip/multimedia.html www.actel.com/products/ip/index.html 1 августа Новые DSP-компоненты от Xilinx для проводных и беспроводных устройств CDMA2000/3GPP2 Turbo Convolutional Code (TCC) Encoder и Decoder - для беспроводных структур. DOCSIS ITU-T J.83 Annex B Modulator - для кабельных модемов. Обе компоненты разработаны для Xilinx FPGA Spartan-3 и Virtex-II Pro. www.xilinx.com/events/pw2003/workshop/ www.xilinx.com/dsp/eval_software.htm www.xilinx.com/ipcenter/cdma2000_tcc/index.htm www.xilinx.com/ipcenter/itu_j83_modulator/index.htm 1.10.2. Обобщения и выводы IP-компоненты для цифровой обработки сигналов "медленно, но верно" прокладывают дорогу. Actel выпустила IP компоненты Viterbi, Reed-Solomon и JPEG, Xilinx - Turbo Convolutional Code Encoder/Decoder. 1.11. "8.2. Телекоммуникации" 1.11.1. Только факты 25 августа Altera FPGA Stratix GX обеспечивают передачу 11 Gbps при использовании с Ethernet-устройствами Xenon от PMC-Sierra Разработанная на Altera IP-компонента POS-PHY Level 4 MegaCore оптимизирована для Stratix GX. Stratix GX исполняются по технологии 0.13 мк, с напряжением питания 1.5 вольта, имеют до 20 встроенных 3.125-Gbps трансиверов. www.altera.com/stratixgx www.pmc-sierra.com/networking 26 августа Synopsis выпустила IP-компоненту USB 2.0 PHY, сертифицированную к изготовлению по технологию 0.13 мк www.synopsys.com 27 августа Texas Instruments приобрела IP-компоненту USB On-The-Go у фирмы Mentor Graphics OTG дополняет USB 2.0 возможностью для таких устройств как мобильные телефоны, PDA (Personal Digital Assistants), цифровые камеры, MP3 аудио-плейеры, клавиатуры, принтеры и спикеры взаимодействовать непосредственно друг с другом, без использования персонального компьютера. MUSBHDRC - это синтезируемое описание USB-контроллера, поддерживающее в одном ядре функции и 'host' и 'peripheral'. Имеется графический интерфейс для конфигурации. www.mentor.com/inventra www.ti.com 3 сентября Altera и MorethanIP анонсируют первые IP-компоненты для оптических каналов, оптимизированные под FPGA Cyclone Эти IP-компоненты обеспечивают передачу информации по интерфейсу Avalon со скоростью 1Gbit/2Gbit в секунду. Цена - от $30,000 за нет-лист, оптимизированный под Altera FPGA. MorethanIP основана в 1999 году в г. Мюнхен (Германия). Она сконцентрировалась на разработке IP компонентов и проектов под заказ для высокоскоростных коммуникаций и встроенных систем. www.morethanip.com www.altera.com/IPmegastore 16 сентября Xilinx выпускает IP-компоненту PCI Express версии 2.0 Цена - $25,000. Эта IP-компонента распространяется по лицензии SignOnce - будучи однажды купленной, IP-компонента может конфигурироваться и загружаться с сайта Xilinx сколько нужно раз. www.xilinx.com/connectivity www.intel.com/go.ica developer.intel.com 1.11.2. Обобщения и выводы В век компьютерных сетей возможность быстро передавать информацию не менее важна, чем возможность ее быстро обрабатывать. Altera разработала IP-компоненту для последовательной передачи со скоростью 11 Gbps, Synopsis выпустила IP-компоненту USB 2.0, Xilinx выпустила IP-компоненту PCI Express (версии 2.0). Приятно отметить также наличие сообщения о приобретении IP-компоненты: Texas Instruments приобрела IP-компоненту USB On-The-Go у фирмы Mentor Graphics. 1.12. "8.3. Шифрование" 1.12.1. Только факты 2 сентября Altera и Alcahest обеспечивают беспрецендентный уровень производительности шифрования с помощью IP компоненты RSA для FPGA Cyclone. Продемонстрирована производительность шифрования 6-Mbps для приложений IPSec. IP-компонента RSA распространяется с сайта Alcahest. Полный пакет, включающий программное обеспечение и документированный проект стоит $16,000. Alcahest Limited - это фирма в Великобритании, специализирующаяся на разработке и распространении сложных криптографических аппаратных решений для высокоскоростных сетей. www.alcahest.com www.altera.com 1.12.2. Обобщения и выводы По мере расширения использования электронных средств при обработке конфеденциальной информации растет потребность в "защищенных" устройствах. 1.13. "8.4. Как распространяются IP-компоненты" 1.13.1. Только факты 1 августа FSA анонсирует инициативу 2003 SIP (Semiconductor Intellectual Property) FSA (Fabless Semiconductor Association) - ассоциация. созданная для торговли IP-компонентами, анонсировала специальный семинар, посвященный SIP. Кроме того, FSA Semiconductor IP Committee сформировал специальную Educational Working Group (EWG) для разработки соответствующей образовательной программы. www.fsa.org 27 августа Faraday и UMC расширяют предложения верифицированных IP-компонент - под изготовление на UMC по технологиям 0.18 мк, 0.15 мк, 0.13 мк. Список предлагаемых IP-компонент от Faraday включает: - высокоскоростные компоненты ввода/вывода: USB 1.1/2.0 PHY, USB OTG PHY, Giga-bit Ethernet PHY, LVDS Receiver/Transmitter, 1.5G/3G Serial-ATA, PCI Express, Serdes, etc. - процессорные компоненты: 16-bit/24-bit DSP, 32-bit RISC CPU - другие компоненты: PLL, DLL, POR, Regulator, Oscillator, PECL, Multi-Voltage I/O, ADC, DAC, Audio CODEC, Audio DAC, и др. www.faraday.com.tw www.umc.com 2 сентября Lattice анонсирует программу "ispLeverCORE Connection IP Partners Program" CAST, Inc., Digital Core Design, Eureka Technology, Inc. первые присоединились к этой программе, оптимизировав свои IP- компоненты под устройства Lattice ispXPGA и ORCA FPGA/FPSC. (Field Programmable System Chip). Connection Cores включают микропроцессоры, математические блоки, UARTы и шинные интерфейсы. Сопровождающий информационный комплект включает тестбенчи для симуляции, документацию, скрипты, wrappers и т.д. www.latticesemi.com/products/devtools/ip/partners.cfm 15 сентября IMEC EUROPRACTICE и Virtual Silicon расширяют соглашение по IP компонентам Virtual Silicon становится эксклюзивным поставщиком IP компонент для IMEC по технологиям 0.25 мк, 0.18 мк, 0.13 мк. За последние 3 года IMEC выпустила 120 успешных проектов. Europractice IC Service была основана в 1995 году как часть проекта Европейской Комиссии с целью стимулировать использование Европейской промышленностью и академическими кругами продвинутых технологий в изготовлении микросхем. EuroPractice ежегодно прототипирует около 500 проектов и выпускает в мелких партиях до 130 проектов. www.europractice.imec.be www.imec.be www.virtual-silicon.com 1.13.2. Обобщения и выводы Количество разработанных и предлагаемых потребителям IP- компонент растет, однако динамика их приобретений требует активизации и поиска новых форм распространения IP-компонент. FSA проводит специальный семинар, Faraday и UMC объединяют усилия, Lattice анонсирует программу "IP-партнеры", IMEC EuroPractice передает эксклюзивные права на распространение разработанных IP-компонент специализированной фирме Virtual Silicon. 1.14. "9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC." 1.14.1. Только факты 4 августа National Semiconductor выбрал Specman Elite фирмы Verisity для верификации SoC и IP Кромо того, NS купила у Verisity несколько верификационных компонент (eVC), в том числе AMBA AHB, USB и PCIX. 11 августа Интеграция Specman Elite от Verisity и ZeBu от EVE ускорила верификацию в 1000 раз EVE (Emulation and Verification Engineering) разрабатывает ZeBu (Zero Bugs) - средства эмуляции мультипроцессорных систем. www.eve-team.com www.verisity.com 9 сентября Mentor Graphics и Verisity создают средства верификации IP компонентов из Inventra Средства верификации содержат исполняемые чекеры и сценарии покрытия, которые обеспечивают корректную интеграцию IP-компонентов. Первые такие средства верификации будут выпущены для PCI Express. Они будут основываться на eVC (e Verification Component) и Invisible Specman - специальной версии Specman Elite, которая является прозрачной для конечного пользователя. www.verisity.com www.mentor.com 10 сентября Mentor Graphics выбрала Paradigm Works для совместной разработки средств поддержки верификации Paradigm Works предлагает продвинутую технологию разработки верификационных компонент, позволяющую пользователям быстро интегрировать в свои устройства IP-компоненты с гарантированным контролем качества интеграции. Эти технологии будут использованы для разработки верификационных компонент (eVC) для IP-компонент из библиотеки Inventra фирмы Mentor Graphics. Paradigm Works основана в 2000 году в США, сейчас имеет 50 сотрудников (в США и Европе), специализируется на разработке IP и eVC компонент для ASIC и FPGA. www.paradigm-works.com www.mentor.com 15 сентября Verisity выпускает eAnalyzer в поддержку стандарта IEEE p1647 eAnalyzer упрощает создание верификационных компонент, статически анализирует временные соотношения и выполнение в исходном HDL-тексте заданных правил проектирования, включая синтаксис, семантику и стиль. eAnalyzer доступен на Linux, Solaris и HP-UX. Цена - $22,000 за годовую лицензию и поддержку. www.verisity.com 15 сентября Verisity выпускает верификационную компоненту (eVC) для PCI Express PCI Express eVC включает три интегрированных компоненты: генератор корректного и некорректного трафика; мониторы и чекеры 'assertions' для контроля значений на выходах и соблюдения правил протоколов; генераторы отчетов о покрытии функциональности тестами. PCI Express eVC разработана в соответствии eRM (e Reuse Methodology). Сегодня сложные чипы инкорпорируют многие различные протоколы, интерфейсы и процессоры. Чтобы верифицировать такие сложные проекты, инженеры вынуждены интегрировать множество верификационных компонент, написанных на языке e (eVC) в единой верификационной среде. Чтобы гарантировать их надежную совместимость типа 'plug-and-play', Verisity и разработала eRM, объединяющую стандарты на архитектуру, кодирование и пакетирование eVC. В дополнение к eRM Verisity анонсировала sVM (System Verification Methodology). sVM объединяет исчерпывающие руководства и лучшие практики верификации чипов и систем на кристалле. Цена PCI Express eVC - $10,000 за годичную лицензию. www.verisity.com 15 сентября Verisity упрощает верификацию SoC выпуская sVM sVM (System Verification Methodology) включает полное руководство по разработке средств верификации. sVM разрабатывалась в развитие eRM (e Reuse Methodology) для применения к сложным проблемам верификации системного уровня для чипов и систем на кристалле. В eRM собраны лучшие практики по повторному использованию верификационных компонент, а в sVM собраны лучшие практики верификации на системном уровне. www.verisity.com 1.14.2. Обобщения и выводы Verisity активно внедряет свои продукты и технологии: National Semiconductor купила у Verisity верификационные компоненты и среду верификации Specman Elite; Paradigm Works разрабатывает верификационне компоненты на языке e, созданном Verisity. Verisity интегрирует свои продукты с ZeBu (отладчик/эмулятор) и Mentor (библиотека IP-компонент Inventra); Verisity выпускает новые верификационные компоненты (в том числе для PCI Express), новый продукт eAnalyzer и новую методологию верификации - sVM (System Verification Methodology). 1.15. "9.2. Другие языки и средства верификации симуляцией" 1.15.1. Только факты 11 августа Novas улучшает поддержку отладки для Synopsis VCS в своих продуктах Debussy и Verdi www.novas.com 13 августа FR-HiTEMP использует симулятор Saber от Synopsis Saber может симулировать различные системы и компоненты, включая сенсоры, гидравлические актуаторы, элементы управления полетом и др. www.synopsys.com 28 августа Unichip использует систему отладки Verdi фирмы Novas Verdi (выпущенный в мае 2002 года) обеспечивает универсальную отладочную платформу и общие интерфейсы для всех средств, которые могут понадобиться в процессе разработки и верификации. Инженеры могут анализировать причины и следствия, визуализировать поведение проекта во времени и исследовать альтернативные варианты. UniChip - сервисная проектная служба, имеет клиентов в Японии, Корее, Китае, Северной Америке и Европе. www.globalunichip.com www.novas.com 11 сентября Mentor Graphics анонсирует DFT-поддержку при разработках на базе процессоров AMD Opteron и других процессоров архитектуры AMD64 Выпущены оптимизированные под AMD64 версии продуктов: EDT - (embedded deterministic test), TestKompress, ATPG (automatic test pattern generation) средства - FastScan, DFTAdvisor, FlexTest. www.mentor.com/dft 15 сентября Mentor Graphics анонсирует адаптацию TestKompress на фирме AMD при производстве тестов для проектов микропроцессоров новых поколений TestKompress - средство разработки встроенных детерминированных тестов для процессоров, обеспечивает сжатие тестов в 100 раз и сокращение времени тестирования в 100 раз. www.mentor.com/dft 15 сентября Mentor Graphics анонсирует выбор TestKompress ведущими компаниями, включая AMD, Ricoh и Renesas TestKompress поддерживает эффективную разработку тестов для проектов объемом выше 100 миллионов вентилей. www.mentor.com/dft 22 сентября Mentor Graphics анонсирует повышенную производительность FastScan FastScan - пакет средств автоматической генерации тестов (ATPG - automatic test pattern generation). Цена FastScan - $92,400 за вечную лицензию. www.mentor.com/dft 22 сентября Procket Networks выбирает FastScan от Mentor Graphics Procket Networks разрабатывает устройства для сетей. www.procket.com www.mentor.com 1.15.2. Обобщения и выводы Novas обновляет Debussy и Verdi - средства симуляции и отладки проектов. Повышается востребованность средств автоматической генерации тестов (FastScan от Mentor) и сжатия статических детерменированных тестов для процессоров (TestKompress от Mentor), других DFT (Design-for-Test)-средств. 1.16. "9.3. Средства формальной верификации" 1.1.1. Только факты 29 сентября Mentor Graphics анонсирует Formal Pro MP - масштабируемую мультипроцессорную систему формальной верификации www.mentor.com 1.16.2. Обобщения и выводы Формальная верификация реальных проектов требует значительного количества вычислений, поэтому переход на распределенную систему является хорошим способом снять ограничение на пиковую производительность такой системы. 1.17 "10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС" 1.17.1. Только факты 23 сентября EVE и Synplicity сотрудничают для ускорения верификации SoC прототипированием Certify и Synplify Pro будут интегрированы с ZeBu фирмы EVE (Emulation and Verification Engineering). Средства прототипирования ZeBu (Zero Bug) базируются на Xilinx FPGA Virtex-II и поддерживают верификацию проектов емкостью до 12 миллионов ASIC вентилей. www.eve-team.com 1.17.2. Обобщения и выводы Высокая стоимость ошибок при изготовлении ASIC стимулирует развитие все новых и новых средств прототипирования ASIC с помощью FPGA. 1.18. "11. Отладка программного обеспечения для микроконтроллеров 11.4. Мультимедиа-микроконтроллеры" 1.18.1. Только факты 8 сентября Texas Instruments выпускает TMS320DM64x для цифровой обработки сигналов TMS320DM64x поможет создавать приложения для обработки видео и графики, поддерживая такие стандарты как H.263, MPEG2 Video и Motion JPEG Codecs. www.ti.com/dmdklaunch 10 сентября Intel в кооперации с Xerox Corporation разработала новые процессоры (Intel MXP5800 и Intel MXP5400) для устройств цифровой обработки образов MXP5800 включает масштабируемый массив из 8 устройств, каждое из которых объединяет процессоры, управляемые потоками данных и и специализированные аппаратные акселераторы для повышения производительности при выполнении задач цифровой обработки медиа-информации. Каждый из процессоров программируется независимо. MXP5400 содержит 4 таких устройства. Кроме того, поддерживается интеграция процессоров для построения более мощных вычислительных систем. Опыт Xerox в создании устройств обработки документов был учтен при оптимизации процессоров под данную прикладную область. Параллельно выпущен отладочный комплекс по цене $2,995, он включает: процессор, PCI отладочную плату, средства программирования, примеры программ и документацию. Цена (в партиях по 10,000 штук) MXP5800 - $68, MXP5400 - $51. www.intel.com/go/imageprocessing www.intel.com/pressroom www.xerox.com/innovation www.xerox.com/news 16 сентября Мультимедийный процессор i.MX21 от Motorola инициирует новую волну коммуникационных устройств. Процессор i.MX21 комбинирует возможности мультимедиа, связи и секретности для мобильных потребителей. i.MX21 базируется на ядре ARM926EJ-S, работающем на частоте 400 Мгц. Поддерживаются: - кодирование-декодирование в реальном времени данных в форматах MPEG4 и H.263 - 30 фреймов в секунду; - Windows Media Player и другие стандартные плейеры - пре- и пост- обработка кадров для повышения качества изображения - интеграция LCD-дисплея с собственной видео-памятью и средствами управления; обновление изображения на LCD только в случае ее изменения в видео-памяти - что приводит к занчительному сокращению энергопотребления i.MX21 включает аппаратные и программные компоненты для выполнения криптографических операций. Имеется три режима энергопотребления - run, doze и stop. Поддерживается USB On-The-Go. Включена поддержка таких стандартных API как Mobile Java 3D и OpenGL-ES, а также такие движки для 3-мерной графики как Superscape, HI Corp., Fathammer. Цена - менее $20 при покупке партиями по 10,000 штук. Программное обеспечение включает операционные системы Microsoft Windows CE, Palm OS, Symbian OS и Linux. Выпущен документированный проект смартфона на базе i.MX21. www.motorola.com/imx www.motorola.com/imx21 www.motorola.com/ialliance www.motorola.com/semiconductors. 23 сентября Atmel выпускает AT76C113 - процессоры обработки образов следующего поколения Все AT76C113 поддерживают MPEG видео и аудио с частотой 30 кадров в секунду. Они также поддерживают MP3 файлы. Цена - $8 при партиях по 50,000. www.atmel.com/dyn/products/product_card.asp?part_id=3015 1.18.2. Обобщения и выводы Обработка мультимедиа-информации - насущная потребность, как результат - растет и предложение нужных устройств - Texas Instruments выпускает TMS320DM64x; Intel вместе с Xerox выпускает Intel MXP5800/5400; Motorola выпускает i.MX21; а ATMEL выпускает AT76C113. Эти и другие устройства дают разработчикам прикладных мультимедийных систем широкий выбор по производительности, стоимости, размерам, потребляемой мощности. 1.19. "11.5. Другие новости мира микроконтроллеров" 1.19.1. Только факты 1 августа TI выпускает одночипный МК MSP430FE42x для электронных измерений На кристалле может быть 8Кбт, 16Кбт, или 32 Кбт флеш-памяти от от 256 до 1024 байтов RAM. www.ti.com/sc03139 12 августа Accelerated Technology и Sankhya Technologies выспускают CORBA Middleware для Nucleus CORBA (Common Object Request Broker Architecture) - открытая платформенно-независимая архитектура построения распределенных систем. Используя Varadhi от Sankhya (Индия) можно проводить прототипирование распределенных систем в отсутствие железа. www.sankhya.com www.acceleratedtechnology.com www.mentor.com 16 сентября Atmel выпускает два новых члена семейства микроконтроллеров TinyAVR - tiny13 и tiny2313 Оба микроконтроллера (МК) имеют встроенные средства внутрикристальной отладки, что позволяет вести отладку ПОСЛЕ изготовления схем. Atmel ввела debugWIRE, который использует линию Reset для электрического присоединения, поэтому при проведении отладки не сокращается количество внешних контактов в системе. debugWIRE и AVR Studio дают инженеру полный контроль над ресурсами МК, упрощая отладку и ускоряя разработку. 8-пиновые tiny13 и tiny2313 не имеют достаточно контактов ввода-вывода, чтобы поддерживать интерфейс JTAG (для которого требуется 4 линии). Типичное токопотребление на рабочей частоте 1 МГц составляет менее 300 микроАмпер при напряжении питания 1.8 вольта. В случае снижения рабочей частоты до 32 КГц, токопотребление уменьшается до 20 мкА. Самопрограммируемая флеш-память позволяет МК AVR писать в собственную память программ, обеспечивая удаленную модификацию программ или хранение параметров в программной флеш-памяти. При повышении напряжения питания до 5 вольт, рабочая частота может быть увеличена до 16 МГц. tiny2313 имеет 2Кбайт самопрограммируемой флеш-памяти плюс 128 байт EEPROM и SRAM, а также 18 контактов ввода-вывода. 8 контактов прерываний делают устройство весьма активно реагирующим на события во внешнем мире. Наличие 4 PWM каналов дает МК возможность управлять множеством аналоговых выходов. tiny13 имеет вдвое меньше памяти и контактов ввода-вывода и 4-канальный 10-битный АЦП. Средства разработки (STK500) стоят всего $79 и содержат все необходимое аппаратное обеспечение для оценки и сравнения возможностей tiny13 и tiny2313. Цена при покупке партиями по 10,000 штук - $0.70 на tiny13 и $0.99 - на tiny2313. www.atmel.com/dyn/resources/prod_documents/doc2535.pdf www.atmel.com/dyn/resources/prod_documents/doc2543.pdf 24 сентября Motorola объединила флеш-микроконтроллеры с аналоговыми микросхемами для автомобильных приложений Протокол LIN (Local Interconnect Network) предназначен для реализации дешевых последовательных соединений в автомобильной промышленности. Процессоры MM908E624 и MM908E625 фирмы Motorola базируются на архитектуре микроконтроллера HC908. Консорциум LIN основан в 1998 году такими фирмами как Audi, BMW AG, DaimlerChrysler, Motorola Inc., Volvo Car Corporation, Volkswagen Volcano Communications Technologies AB (VCT). www.motorola.com 1.19.2. Обобщения и выводы Несмотря на развитие SoC-технологий, микроконтроллеры были и остаются ключевыми компонентами разработок. В тоже время фирмы, разрабатывающие и выпускающие микроконтроллеры, в новых чипах пытаются интегрировать больше возможностей: Texas Instruments интегрировала на своем МК MSP430FE42x до 32 Кбт блеш-памяти и до 1024 байт RAM; Motorola в добавление к флеш-памяти внедрила в свои МК MM908E624 и MM908E625 развитые средства управления аналоговыми выходами; Atmel внедрила в свои МК tiny13 и tiny2313 встроенные средства внутрикристальной отладки (debugWIRE). 1.20. "12. Обучение - ключ к продаже 12.1. Очные семинары" 1.20.1. Только факты 15 августа Novas Software в августе-ноябре проводит в США серию семинаров по своим средствам отладки www.novas.com/docs/pg/novas_cc_call_for_papers.html 21 августа Xilinx и Texas Instruments проводят серию обучающих семинаров по технологиям DSP+FPGA Первый такой семинар состоится в рамках Programmable World 2003 (начиная с сентября 2003), последний - на TI Developer Conference (февраль 2004). Programmable World 2003 пройдет в 20 различных местах Северной Америки и Европы и будет включать учебные семинары, организованные такими фирмами как Xilinx, Texas Instruments, IBM, Intel, Agilent, Cadence, Wind River Systems, The MathWorks, Mentor Graphics, Synplicity, Synopsys, Celoxica, Nallatech и Altium. www.xilinx.com/events/pw2003/workshop www.ti.com/tidc04 3 сентября Серия совместных семинаров 0-In Design Automation и Verisity, посвященных будущему верификации Цель семинаров - научить инженеров достигать нужного уровня верификации для сложных проектов. Предполагается представление методологии VPA (Verification Process Automation). Семинары пройдут в сентябре в городах: Los Angeles, Irvine, San Diego, Santa Clara; в октябре в городах: Ottawa, Waltham, Denver (Broomfield), Dallas, Austin, Kyoto (Япония); в ноябре в городах Tokyo (Япония), Seoul (Корея). www.verisity.com/home/seminar2003/index.htm www.0-in.com 8 сентября Altera анонсирует конференции Global SOPC World 2003 Их проведение планируется в Северной Америке, Европе, Японии и Азии. www.altera.com/sopcworld_na www.altera.com/sopcworld_Europe www.altera.com/pldworld www.altera.com/sopcworld_asia 10 сентября Insight и Xilinx анонсируют X-Fest 2003 В 5-ый раз в течение полутора месяцев с начала октября до середины декабря в 37 городах Северной Америки пройдут семинары и демонстрации достижений Xilinx и ее парнеров: Agilient, Anadigm, Magma Design, Model Technology, Synplicity, Texas Instruments, Wind River. www.insight.na.memec.com/x-fest2003 www.xilinx.com 23 сентября Технические семинары по SystemVerilog Они организованы совместно Axis Systems, Mentor Graphics, Novas Software и Synopsys. График проведения семинаров: 8 октября - Austin, Texas 22 октября - Santa Clara, California 29 октября - Boston, Massachusetts 12 нобяря - Ottawa, Canada. SystemVerilog - единый язык описания аппаратного обеспечения и верификационных компонент, является расширением языка IEEE 1364-2001 Verilog HDL, имеет прямой интерфейс с C/C++ моделями. www.systemverilognow.com www.systemverilog.org www.sunburst-design.com www.axissystems.com www.mentor.com www.novas.com www.synopsys.com 25 сентября Altera анонсирует SOPC World 2003 в Северной Америке 30 сентября Richardson, Texas 1 октября Irvine, CA 6 октября Toronto, ON, Canada 7 октября Chelmsford, MA 8 октября Edison, NJ 9 октября Cary, NC 10 октября Bethesda, MD 21 октября Broomfield, CO 28 октября Oakbrook Terrace, IL 6 ноября Santa Clara, CA www.altera.com/sopcworld_na 25 сентября Altium продемонстрирует технологию "Board-on-Chip" на Altera SOPC World 2003 www.altium.com www.altera.com 1.20.2. Обобщения и выводы В современных рыночных условиях мало сделать хороший товар, необходимо также донести информацию о нем до потребителя, более того, нужно убедить потребителя в том, что этот товар действительно хорош. Для средств автоматизации проектирования и сложных устройств необходимо также научить потребителя пользоваться ими. На сегодня, наверно, нет лучших средств для всех перечисленных целей, чем проведение серий тематических семинаров: Novas проводит серию семинаров в США, Xilinx и Texas Instruments (Programmabe World 2003) - в Северной Америке и Европе, 0-In Design Automation и Verisity - в США, Канаде, Японии и Корее, Altera - в Северной Америке, Европе, Японии и Азии, Xilinx и Insight (X-Fest 2003) - в Северной Америке; Axis/Mentor/Novas/Synopsis - в США и Канаде, Altera (SOPC World 2003) в США и Канаде. 1.21. "12.3. Университетские программы" 1.21.1. Только факты 11 сентября Verisity существенно расширяет университетскую программу В нее включены 22 новых университета, всего теперь в программе 45 участников. Verisity подчеркивает, что 14 университетов из 45 входят в список 50 ведущих инженерных университетов в США. 22 новых члена университетской программы Verisity: Alfred University, Arizona State University, University of Bristol, Darmstadt University, Kyoto University, Loughborough University, Massachusetts University, Nebraska-Lincoln University, Northwestern Polytechnic University, Ohio State University, Osaka University, Purdue University, Santa Clara University, Swiss Federal Institute of Technology (EPFL), Texas A&M University, University of Texas at Austin, The University of Arizona, University of California at Irvine, University of California at Berkeley, University of California at Davis, Washington State University, Washington University. Цель вузов - дать выпускникам знания и навыки в современных технологиях верификации на базе языка e и программного комплекса Specman Elite, разработанных в Verisity, которые становятся IEEE стандартом. www.verisity.com/programs/university/index.html 25 сентября Cadence в Московском институте электронных технологий Спустя год после начала, завершилась первая программа обучения студентов МИЭТ Cadence-средствам и технологиям проектирования, профинансированная Cadence. Cadence поставила компьютерное оборудование и программные лицензии, профинансировала оплату преподавателям и стипендии студентам, а также обеспечила техническую доументацию и обучение. Все студенты, прошедшие обучение, получили предложение на работу. На встрече по развитию отношений присутствовали ректор МИЭТ Юрий Чаплыгин и вице-президент Cadence Spencer Clark. С 1995 года в МИЭТ уже работает университетская программа фирмы Motorola. www.cadence.com 1.21.2. Обобщения и выводы Verisity отметилась расширением университетской программы. Отрадно также отметить, что, наконец, в поле зрения университетских программ попала и Россия. Cadence сотрудничает с МИЭТ (Московский институт электронных технологий) в подготовке специалистов в области автоматизации проектирования, уже вложила немало средств. Процесс показался успешным и предполагается его продолжение. Вскользь отмечено, что с 1995 года в МИЭТе работает и Motorola. 1.22. "12.6. Комплексная (многовидовая) служба поддержки" 1.22.1. Только факты 29 сентября Fujitsu и Synopsys создали в Японии совместную сервисную службу для проектирования SoC www.fujitsu.com www.synopsys.com 1.22.2. Обобщения и выводы Другой способ продвижения своих разработок на рынке Японии использовала Synopsis, создав совместно с Fijitsu сервисную службу для проектирования SoC. 1.23. "13. Другие ключи к продаже 13.1. Передача маркетинга на сторону" 1.23.1. Только факты 4 августа Aldec выбрала Soliton Systems в качестве эксклюзивного провайдера в Японии Soliton Systems работает на рынке Японии с 1982 года, распространяя средства автоматизации проектирования и IP-компоненты. www.soliton.co.jp www.aldec.com 11 сентября SynTest выбирает Logicad в качестве дистрибьютора в Индии SynTest, основанная в 1990 году, специализируется в области DFT(design-for-test) технологий, Logicad Technologies - вновь созданная компания - будет специализироваться на дистрибуции EDA-средств. www.syntest.com www.logicad.us 1.23.2. Обобщения и выводы Третий способ - передача маркетинговых функций сторонним компаниям - использовали Aldec (Solution Systems в Японии) и SynTest (Logicad в Индии). 1.24. "13.2. Расширение географии" 1.24.1. Только факты 7 августа Cadence во второй раз организовывает конференцию в Азии - ACTS 2003 ACTS (Asia Cadence Technology Symposium) 2003 проходил с 26 августа по 5 сентября на Тайване. В нем приняли участие более 1,600 специалистов. acts.cadenceasia.com 13 августа Центр проектирования микросхем Suzhou CAS (Китай) выбрал средства разработки от Cadence www.cadence.com 13 августа Verisity проводит в августе-октябре серию семинаров по верификации в Северной Америке (США), Европе (Германия, Англия, Франция) и Азии (Япония,Китай, Тайвань,Индия) http://www.verisity.com/home/seminar2003/index.html 26 августа Mentor Graphics анонсирует серию семинаров в США, Канаде, Европе, Тихоокеанском регионе и Японии www.mentor.com/events/techforum 15 сентября OEA International расширяется в Индию, Израиль и Европу ICON Design Automation Pvt. Ltd. выбрана к качестве дистрибьютора в Индии. AMOS technologies, Ltd. выбрана к качестве дистрибьютора в Израиле. Собственное представительтсво OEA International открыто в Великобритании для работы с Европейскими заказчиками. www.icon-dapl.com www.amost.co.il www.oea.com 1.24.2. Обобщения и выводы Четвертый способ увеличения продаж - расширение рынка сбыта. Им попытались воспользоваться: Cadence - организует конференцию ACTS 2003 в Азии и сотрудничает с центром проектирования микросхем в Китае; Verisity - проводит семинары не только Северной Америке, но и в Европе и Азии; Mentor - проводит семинары в США, Канаде, Европе, Тихоокеанском регионе и Японии. ОЕА International завела дистрибьютров в Индии и Израиле и открыла представительство в Европе. 1.25. "13.5. On-line - семинары" 1.25.1. Только факты 5 августа Mentor Graphics проводит очередной WEB-семинар www.mentor.com/investor_relations/ 28 августа Internet-выступление руководителя Synopsis (Steve Shevick) Состоится 3 сентября в рамках Boston Global Software Conference. www.synopsys.com/corporate/invest/invest.html 1.25.2. Обобщения и выводы On-line семинары по количеству пока сильно проигрывают своим "традиционным" собратьям. Тем не менее они есть: Mentor и Synopsis провели по одному такому семинару. 1.26. "14. Интернет-технологии на службе EDA-индустрии" 1.26.1. Только факты 18 августа Atrenta позволяет использовать свой SpyGlass посредством WEB-технологий в режиме 24*7 SpyGlass использует уникальную технологию предсказательного анализа VHDL/Verilog текстов для раннего обнаружения проблем проектирования. www.atrenta.com 1.26.2. Обобщения и выводы Цены на EDA-средства разработки чрезвычайно высоки (до сотен тысяч долларов), поэтому в стремлении расширить рынок сбыта некоторые EDA-разработчики (как, например, Atrenta) идут на организацию доступа к своим продуктам на условиях "арендной платы". Идеальный вариант - предоставление таких услуг через Internet. Отметим, что в таком варианте появляются и дополнительные удобства и для пользователя и для поставщика подобных услуг: Пользователю "не болит голова об установке и настройке такого программного обеспечения, поддержке его в работоспособном состоянии и устранении конфликтом с аппаратным и другим программным обеспечением". У поставщика уменьшается объем работы на "горячих линиях" по проблемам, возникающим у пользователей при попытках решать вышеозначенные проблемы. Упрощается процесс устранения ошибок и обновления версий программного обеспечения. Справедливости ради необходимо отметить, что при таком "арендном" подходе возникают и новые проблемы - например, обеспечение конфеденциальности информации о разрабатываемых проектах. 1.27. "15. Специализированные СБИС 15.1. Передача данных" 1.27.1. Только факты 1 августа Pericom выпустила 500 Мгц свичи с поддержкой USB 2.0 Обеспечивается передача данных со скоростью 480 Мегабит/сек. www.usb.org www.pericom.com/corporate/press/prgraphic.php www.pericom.com/specs/PI3USB20.pdf www.pericom.com/specs/PI3USB40.pdf www.pericom.com/presentations/USB20_ow.pdf 1 августа Zarlink выпустила чип ZL30410, который генерирует тактовые сигналы для оптических свичей products.zarlink.com/profiles/ZL30410 www.zarlink.com 5 августа ZyDAS с помощью UMC выпускает чип ZD1202 для 802.11b ZyDAS Technology - это fabless- компания (Тайвань). www.zydas.com.tw www.umc.com 11 августа Cypress выпускает WirelessUSB LS - RadioSoC с ценой менее $2 и рабочей частотой 2.4 ГГц. Сигналы передаются на расстояние до 10 метров со средней задержкой менее 4 миллисекунды. www.cypress.com 19 августа LSI Logic выпускает HomeBASE - новый ADSL чип-сет www.lsilogic.com/products/adsl/cust_premise.html 1.27.2. Обобщения и выводы Передача данных требует и аппаратную поддержку и получает ее от Pericom, Zarlink, ZyDAS, Cypress и LSI Logic. 1.28. "15.2. Сетевая обработка" 1.28.1. Только факты 1 августа Mysticom и Mentor Graphics обеспечивают 10-гигабитное соединение с Ethernet с помощью чипа MY3104 Mysticom основана в 1997 году в Netanya, Israel. www.mysticom.com www.mentor.com 7 августа Zarlink выпускает ZL50408 - новое семейство скоростных Ethernet- свичей products.zarlink.com/product_profiles/ZL50408 www.zarlink.com 10 сентября Agere Systems использовала платформу проектирования Galaxy от Synopsis при изготовлении сетевого процессора 5G APP550 по технологии 0.13 мк. Physical Compiler был использован для физического синтеза, Astro - для физического проектирования, Star-RCXT - для извлечения паразитных влияний, Jupiter - для планирования проекта. Agere отметила существенную поддержку Synopsis при использовании Galaxy. www.synopsys.com 16 сентября Cadence анонсирует средства разработки для сетевого процессора Intel IXP2800 www.cadence.com 17 сентября Zarlink выпускает однокристальный пакетный процессор ZL50111, обеспечивающий TDM-to-IP/Ethernet Это процессор с сокращенными размерами и стоимостью за счет разделения трафика во времени (TDM-time-division multiplex). Поддерживается до 32 потоков. Процессор ZL50111 соответствует стандартам G.823 и G.824 ITU-T (International Telecommunication Union-Telecommunications). news.zarlink.com/visual_center/ www.zarlink.com cesop.zarlink.com 1.28.2. Обобщения и выводы Один из ключевых моментов сетевой обработки - манипуляция пакетами - также продолжает требовать и получать все новые устройства: от Mysticom и Mentor Graphics, Zarlink, Agere Systems, Intel. 1.29. "15.3. Цифровое телевидение" 1.29.1. Только факты 1 августа Zarlink выпустила новый низкопотребляющий чип ZL 0312 демодуляции спутниковых сигналов цифрового телевидения для Азии Новый демодулятор автоматически сканирует спутниковые широковещательные сигналы в течение нескольких минут. Демодулятор может быть перенастроен на рынки Европы и США. products.zarlink.com/profiles/ZL10312 4 сентября LSI Logic выпускает платформу H.264/MPEG-4 AVC www.lsilogic.com 1.29.2. Обобщения и выводы ZarLink и LSI Logic выпустили специализированные чипы для цифрового телевидения. 1.30. "15.4. Емкая и быстрая память для мобильных устройств" 1.30.1. Только факты 26 августа Infineon выпустила первые образцы самой маленькой в мире памяти (1-Gbit Double Data Rate SDRAM), выполненной по технологии 110 нм Размер чипа - 160 кв.мм. Рабочая частота - от 133 до 200 Мгц. Выпущены также специальные версии корпусов, обеспечивающие "комплексирование" (по стековой технологии) в 4-Гбайтные модули. www.infineon.com 3 сентября Affymetrix GeneChip CustomExpress - новый стандарт емкости данных Новый чип, выполненный по технологии 11 мк (вместо предыдущих 18мк), содержит 1.3 миллиона вентилей, что почти в три раза больше чем предыдущий чип. www.affymetrix.com 1.30.2. Обобщения и выводы Объемы хранимых данных возрастают в геометрической прогрессии. Устройства хранения данных явно не поспевают за реальными потребностями. 1.31. "15.5. Цифровая камера" 1.31.1. Только факты 6 августа HSIM фирмы Nassda ускоряет симуляцию проекта одночипной камеры фирмы TransChip www.transchip.com www.nassda.com 1.31.2. Обобщения и выводы TransChip разрабатывает однокристальную цифровую камеру. 2. Новости, развивающие классификацию 2.1. "н! 10.4. Отладчики проектов на кристалле" 2.1.1. Только факты 8 сентября Intellitech Corporation выпустила отладчик NEBULA Silicon Debugger NEBULA сокращает время на отладку тестовых векторов с недель до дня, используя информацию о DFT (Design-for-Test) - структурах на кристалле. Последние исследования Gartner Dataquest показывают, что время проектирования больших ASIC - от 9 до 12 месяцев, две трети из которых занимает отладка на кристалле. Цена NEBULA - от $50К. www.silicondebug.com/products/silicondebug.asp www.intellitech.com 15 сентября Silicon Logic Engineering и Mentor Graphics сотрудничают в создании систем эмуляции нового поколения www.siliconlogic.com www.mentor.com 2.1.2. Обобщения и выводы Несмотря на все успехи симуляции на системном, архитектурном уровне и уровне регистровых передач, реализованное в чипе устройство в значительном количестве случаев (по экспертным оценкам в 60% случаев) содержит ошибки. Для поиска таких ошибок требуются специальные средства, интегрирующие отладлочные схемные решения и интегрированную среду разработки проекта. NEBULA от Intellitech - есть вариант такого средства, в свою очередь Mentor и Silicon Logic Engineering начали создавать такую систему. 2.2. "н! 11.6. Операционные системы для встроенных приложений" 2.2.1. Только факты 2 сентября Accelerated Technology увеличивает свое проникновение на рынок мобильных устройств, поддерживая в своей Nucleus RTOS видеоплатформу Alphamosaic на базе видеопроцессора VC01. VC01 - полностью программируемый процессор с малым потреблением энергии, основанный на технологии VideoCore. Архитектура VideoCore поддерживает чрезвычайно быстрые функции для обработки мультимедиа и видео в беспроводных устройствах, не сокращая срок службы батареек. Используя Nucleus, RTOS разработчики могут программировать свои мобильные устройства на базе для обеспечения мультимедиа- функциональности, такой как игры, сжатие и пересылка видеоклипов воспроизведение и редактирование музыки. Разработчики могут использовать в своих приложениях Nucleus NET TCP/IP и систему управления файлами Nucleus FILE. Все компоненты распространяются на уровне исходных текстов, без выплаты "royalty". Лицензия на пакет программного обеспечения Nucleus стоит от $12,495. www.alphamosaic.com www.acceleratedtechnology.com www.mentor.com 15 сентября Accelerated Technology выпускает Nucleus 802.11 STA, поддерживающий Wireless Ethernet 802.11b (wireless fidelity, Wi-Fi) - это семейство спецификаций для беспроводных локальных сетей, создаваемое Wi-Fi Alliance. Цель - обеспечить беспроводную Ethernet-передачу информации преимущественно между лэп-топами и локальными точками доступа (local access nodes) в корпоративную сеть. Nucleus 802.11 STA - драйвер для "wireless Ethernet" устройств, его цена - от $7,495. Wi-Fi Alliance (ранее назывался WECA) - некоммерческая организация, основанная в 1999 году для сертификации интероперабельности продуктов IEEE 802.11 www.wi-fi.org www.acceleratedtechnology.com 15 сентября Accelerated Technology и Xilinx обеспечивают работу Nucleus RTOS на встроенном в Xilinx FPGA процессоре MicroBlaze Nucleus RTOS включает Nucleus NET TCP/IP (поддержка сетевой обработки) и Nucleus FILE (система управления файлами) и распространяется вместе с исходными текстами, без "royalty". Процессор MicroBlaze обеспечивает более 125 D-MIPS на частоте 150 МГц в FPGA Virtex-II Pro или 68 D-MIPS на частоте 85 МГц в FPGA Spartan-3. Цена на Nucleus RTOS - от $12,495. MicroBlaze распространяется как часть Xilinx Embedded Development Kit (Xilinx EDK), цена которого $495. Кроме MicroBlaze, Xilinx EDK включает средства разработки встроенных систем. MicroBlaze распространяется также в виде исходного VHDL текста по цене $4,995. www.xilinx.com/processor www.acceleratedtechnology.com 16 сентября Accelerated Technology предлагает полную RTOS и средства разработки для новейшего семейства процессоров Motorola PowerQUICC III (MPC8560) В средства разработки входят C/C++ Microtec компиляторы и отладчик XRAY Debugger. Nucleus RTOS - это надежная, масштабируемая операционная система для встроенных процессоров. Минимальный размер RTOS Nucleus 23 Кбайта для кода и данных. В то же время имеются компоненты для пользовательского графического интерфейса, файловой системы, 802.11 и стека USB. XRAY Debugger работает и под Unix, и под Windows, поддерживает множество сред разработки от симуляторов системы команд и ко-верификации до внутри-кристальной отладки. Microtec кросс-компилятор, ассемблер и линкер используются для построения исполняемых файлов. Цены: Nucleus RTOS - от $12,495; XRAY Debugger - от $2,495; Microtec компилятор - от $2,400. www.acceleratedtechnology.com www.mentor.com 16 сентября Accelerated Technology предлагает RTOS для сетевых процессоров семейства Intel IXP4XX, начиная с Intel IXP425 Цена на Nucleus RTOS для Intel IXP425 - от $12,495. www.acceleratedtechnology.com 17 сентября Insignia лицензировала Nucleus RTOS у Accelerated Technology Insignia Solutions основана в 1986 году, сейчас занимается разработкой программного обеспечения для мобильных телефонов. www.insignia.com www.acceleratedtechnology.com 17 сентября Nucleus RTOS от Accelerated Technology была использована при разработке ADTS 505 (Air Data Test Set) фирмой Druck Limited (England) www.druck.com www.acceleratedtechnology.com 2.2.2. Обобщения и выводы Операционные системы для встроенных приложений, в том числе и Nucleus RTOS от Accelerated Technology упоминались в новостях и ранее. Однако так интенсивно, пожалуй, впервые. Более того, превалируют новости о новых возможностях: поддержка видеоплатормы Alphamosaic, реализация спецификации 802.11b для беспроводной передачи, работа на встроенном в Xilinx FPGA процессоре MicroBlaze, новом процессоре Motorola PowerQUICC III (MPC8560), сетевых процессорах Intel IXP4xx и, что еще более ценно, об их реальном использовании: фирма Druck Limited (England) использовала Nucleus RTOS при разработке ADTS 505, а фирма Insignia лицензировала Nucleus RTOS для внедрения в программное обеспечение мобильных телефонов. 2.3. "н! 11.7. Микроконтроллеры для беспроводных сетей" 2.3.1. Только факты 9 сентября Intel выпускает первый однокристальный процессор для беспроводных сетей Процессор Intel PXA800EF - процессор класса "Wireless-Internet-on-a-Chip" - интегрирует возможности сотовых телефонов и компьютеров на одном кристалле. Процессор работает на частоте 312 МГц, имеет 4 Мбт внутикристальной влеш-памяти и 512Кбт SRAM. Предполагаемая цена - $29.15 за штуку в партиях по 100,000. www.intel.com/pressroom 2.3.2. Обобщения и выводы Очередная новая потребность для микроконтроллеров - возможность беспроводной связи с Интернет реализована фирмой Intel в ее процессоре Intel PXA800EF. 2.4. "н! 11.8. Микроконтроллеры с поддержкой шифрования" 2.4.1. Только факты 29 сентября Motorola анонсирует эффективные по цене процессоры семейства PowerQUICC (MPC885 и MPC8272) с интегрированными средствами безопасности В них добавлены аппаратные средства поддержки криптографических алгоритмов. www.motorola.com 2.4.2. Обобщения и выводы А Motorola внедрила в свои процессоры PowerQUICC (MPC885 и MPC8272) криптографические возможности, откликнувшись на другой "призыв рынка" - потребность в обеспечении конфеденциальности обрабатываемой информации. 2.5. "н! 15.6. Суперминиатюрные ИС для 'last-minute' модификаций" 2.5.1. Только факты 21 августа Toshiba выпускает суперминиатюрные 5-пиновые микросхемы для "last-minute" модификаций готовых плат Размеры чипов - 1мм * 1мм * 0.48мм. Возможные функции: 2-входовой NAND, 2-входовой NOR, инфвертор, 2-входовой AND, инвертор Шмидта, 2-входовой OR, 2-входовой EX-OR. Напряжение питания - от 2 до 5.5 вольт. Температурный диапазон - от -40 до 85 градусов по Цельсию. Задержка (tPD) - 5.5 нс. Ток потребления - 2 микроампера. Цена - $0.25. В 2004 году Toshiba планирует увеличить производство таких устройств до 20 миллионов штук в месяц на своем производстве (Himeji Operations) в Японии. chips.toshiba.com 2.5.2. Обобщения и выводы Оригинальное и неожиданное устройство, подчеркивающее что "практически невозможно изготовить сложное устройство не оставив в нем хоть одной ошибки". Планируемый Toshiba объем производства - 20 миллионов штук в год чипов "для исправления ошибок" чрезвычайно показателен. 2.6. "н! 15.7. Цифровая аудиообработка" 2.6.1. Только факты 2 сентября National Semiconductor выпускает интегрированную подсистему LM4930 для поддержки голоса и цифрового аудио в портативных устройствах www.national.com 2.6.2. Обобщения и выводы Аудиообработка становится отдельной сферой применения специализированных цифровых устройств. 2.7. "н! 15.8. Самая - самая" 2.7.1. Только факты 4 сентября NEC Electronics выбирает Synopsis NEC Electronics планирует разработку ASIC по технологии 90нм, с частотой 1 ГГц и емкостью до 100 миллионов полезных вентилей. www.synopsys.com 2.7.2. Обобщения и выводы В этой рубрике будут приводиться разработки, "преодолевающие рубежи". Итак сегодня рекордсмен NEC Electronics: (90нм - 1ГГц - 100 миллионов вентилей). Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по сентябрь 2003 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.